Commit Graph

757 Commits (c079ace8c3185fded3fa260e464f15912be1dbd2)

Author SHA1 Message Date
michel c30f1ab5bc preprocessor: opening file in binary mode solves mysterious bug with CR LF terminated lines under Cygwin
git-svn-id: https://www.dynare.org/svn/dynare/dynare_v4@1700 ac1d8469-bf42-47a9-8791-bf33cf982152
2008-02-08 17:42:09 +00:00
sebastien 0eca1351d9 v4 preprocessor: ignore Ctrl-M in macro-processor
git-svn-id: https://www.dynare.org/svn/dynare/dynare_v4@1699 ac1d8469-bf42-47a9-8791-bf33cf982152
2008-02-08 13:10:10 +00:00
sebastien 567a518b4a v4 preprocessor: fixed minor memory leak
git-svn-id: https://www.dynare.org/svn/dynare/dynare_v4@1698 ac1d8469-bf42-47a9-8791-bf33cf982152
2008-02-08 11:34:10 +00:00
michel b6632e894f adapted Makefile for cross compiling
git-svn-id: https://www.dynare.org/svn/dynare/dynare_v4@1696 ac1d8469-bf42-47a9-8791-bf33cf982152
2008-02-07 21:20:49 +00:00
adjemian 4bda21d4db Bug correction. Initialization of deterministic simulations was buggy when we start from an arbitrary initial condition (ie when steady is not used after initval).
git-svn-id: https://www.dynare.org/svn/dynare/dynare_v4@1695 ac1d8469-bf42-47a9-8791-bf33cf982152
2008-02-07 10:50:31 +00:00
michel c296d7d895 preprocessor: stoch_simul must return info for loops
git-svn-id: https://www.dynare.org/svn/dynare/dynare_v4@1693 ac1d8469-bf42-47a9-8791-bf33cf982152
2008-02-05 11:17:01 +00:00
michel ba0b58dfab v4: changing name of preprocessor directory
git-svn-id: https://www.dynare.org/svn/dynare/dynare_v4@1686 ac1d8469-bf42-47a9-8791-bf33cf982152
2008-02-03 10:28:36 +00:00